Наш телефон: 8 921 751-66-99
       
English  Русский 
Каталог
Валюта:
Версия для печати Версия для печати

UMUX 1200

UMUX 1200 – for subscriber access in multi-apartment houses
Артикул:  UMUX-1200

Доступно под заказ

KEYMILE UMUX 1200
Устанавливает новые стандарты для систем расположенных у заказчика или для компактного сетевого оборудования

Компактное исполнение, широкие возможности по совместимости и большое количество монтажных опций открывают абсолютно новые области применения для устройства UMUX 1200, входящего в состав семейства UMUX.

НЕБОЛЬШОЙ, НО UMUX!
UMUX 1200 имеет такие же характеристики и архитектуру, как его "старший брат" UMUX 1500 и объединяет мультисервисный доступ, передачу данных по меди и по оптике с функциями мультиплексора и кросс- коннектора в рамках одного высоко- технологичного и много- функционального сетевого элемента. Устройство предоставляет широкие возможности по организации доступа, начиная с услуг предыдущих поколений систем передачи голоса и данных, скоростного доступа к Интернет до новых услуг, таких как частные сети Ethernet, оптический Ethernet, голос через пакетную среду ATM/IP. UMUX 1200 имеет встроенные функции SDH, STM-1 ADM/TM, Gigabit Ethernet, ATM и может рассматриваться как неотъемлемая часть транспортной сети.

Для удовлетворения требований по питанию в приложениях у заказчика UMUX 1200 предлагает интегрированный модуль переменного напряжения (AC), который способен осуществлять заряд/контроль дополнительного резервного комплекта батарей. Таким образом, удается реализовать встроенное и автономное решение для обеспечения резервного питания. Для использования UMUX 1200 на станционных объектах телекоммуникационных провайдеров также предусмотрен модуль постоянного напряжения (DC).
Являясь представителем семейства UMUX, сетевые элементы UMUX 1200 могут управляться с помощью хорошо зарекомендовавших себя систем UCST (EMS) и UNEM (NMS).

 

Есть вопросы?

Вы можете задать нам вопрос(ы) с помощью следующей формы.

Имя *

Email *

Телефон *

Пожалуйста, сформулируйте Ваши вопросы относительно UMUX 1200 *


Введите число, изображенное на рисунке
code

(пусто)
 
Блог / Новости
Голосование